From e8fb4b6cbeee2491adf9a36243e2f3875ecb47fb Mon Sep 17 00:00:00 2001 From: Michael Neuling Date: Thu, 5 Sep 2019 15:49:00 +1000 Subject: [PATCH] Remove redundant --45k option from picorv32_ulx3s Makefile Currently this fails with: nextpnr-ecp5 --45k --package CABGA381 --json attosoc.json --textcfg attosoc_out.config --45k --no-tmdriv option '--45k' cannot be specified more than once Remove redundant option. Signed-off-by: Michael Neuling --- examples/picorv32_ulx3s/Makefile | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/examples/picorv32_ulx3s/Makefile b/examples/picorv32_ulx3s/Makefile index ad3eb62d..c1d11a3b 100644 --- a/examples/picorv32_ulx3s/Makefile +++ b/examples/picorv32_ulx3s/Makefile @@ -14,7 +14,7 @@ attosoc.json: io_wrapper.v attosoc.v picorv32.v firmware.hex yosys -p "synth_ecp5 -json $@" io_wrapper.v attosoc.v picorv32.v attosoc_out.config: attosoc.json - nextpnr-ecp5 --45k --package CABGA381 --json $< --textcfg $@ --45k --no-tmdriv + nextpnr-ecp5 --45k --package CABGA381 --json $< --textcfg $@ --no-tmdriv attosoc.bit: attosoc_out.config ecppack $< $@