Skip to content

Commit

Permalink
swap from travis to github actions, update modelsim
Browse files Browse the repository at this point in the history
  • Loading branch information
sameer committed Feb 7, 2021
1 parent 2a35976 commit 01c5a84
Show file tree
Hide file tree
Showing 7 changed files with 42 additions and 37 deletions.
36 changes: 36 additions & 0 deletions .github/workflows/testbench.yml
Original file line number Diff line number Diff line change
@@ -0,0 +1,36 @@
name: hdmi

on: [push, pull_request]

jobs:
test:
runs-on: ubuntu-latest
steps:
- uses: actions/checkout@v2
with:
fetch-depth: 0
- uses: actions/setup-python@v2
with:
python-version: '3.x'
- name: Install hdlmake
run: pip install -r requirements.txt
- name: Install ModelSim dependencies
run: |
sudo dpkg --add-architecture i386
sudo apt-get update
sudo apt-get install lib32z1 lib32stdc++6 libexpat1:i386 libc6:i386 libsm6:i386 libncurses5:i386 libx11-6:i386 zlib1g:i386 libxext6:i386 libxft2:i386
- name: Cache ModelSim
uses: actions/cache@v2
with:
path: $HOME/intelFPGA
key: ${{ runner.os }}-modelsim
- name: Install ModelSim if not cached
run: stat $HOME/intelFPGA/20.1/modelsim_ase || (curl 'https://download.altera.com/akdlm/software/acdsinst/20.1std.1/720/ib_installers/ModelSimSetup-20.1.1.720-linux.run' -o ModelSimSetup.run && chmod +x ModelSimSetup.run && ./ModelSimSetup.run --mode unattended --accept_eula 1 && sed -i 's/linux_rh60/linux/g' $HOME/intelFPGA/20.1/modelsim_ase/vco )
- name: Add ModelSim to PATH
run: echo "$HOME/intelFPGA/20.1/modelsim_ase/bin" >> $GITHUB_PATH
- name: Top Testbench
run: cd $GITHUB_WORKSPACE/sim/top_tb/ && hdlmake fetch && hdlmake && make
- name: Audio Param Testbench
run: cd $GITHUB_WORKSPACE/sim/audio_param_tb/ && hdlmake fetch && hdlmake && make
- name: Audio Clock Testbench
run: cd $GITHUB_WORKSPACE/sim/audio_param_tb/ && hdlmake fetch && hdlmake && make
31 changes: 0 additions & 31 deletions .travis.yml

This file was deleted.

2 changes: 1 addition & 1 deletion README.md
Original file line number Diff line number Diff line change
Expand Up @@ -2,7 +2,7 @@

[English](./README.md) | [Français](./README_fr.md) | [Help translate](https://github.com/hdl-util/hdmi/issues/11)

[![Build Status](https://travis-ci.com/hdl-util/hdmi.svg?branch=master)](https://travis-ci.com/hdl-util/hdmi)
![hdmi](https://github.com/hdl-util/hdmi/workflows/hdmi/badge.svg)

SystemVerilog code for HDMI 1.4b video/audio output on an [FPGA](https://simple.wikipedia.org/wiki/Field-programmable_gate_array).

Expand Down
4 changes: 2 additions & 2 deletions README_fr.md
Original file line number Diff line number Diff line change
@@ -1,8 +1,8 @@
# hdmi

[English](./README.md) | [Français](./README_fr.md) | [Help translate](https://github.com/hdl-util/hdmi/issues/11)
[English](./README.md) | [Français](./README_fr.md) | [Nous aider avec la traduction](https://github.com/hdl-util/hdmi/issues/11)

[![Statut de Construction](https://travis-ci.com/hdl-util/hdmi.svg?branch=master)](https://travis-ci.com/hdl-util/hdmi)
![hdmi](https://github.com/hdl-util/hdmi/workflows/hdmi/badge.svg)

SystemVerilog code pour transmettre vidéo/audio HDMI 1.4a sur un [FPGA](https://fr.wikipedia.org/wiki/Circuit_logique_programmable#FPGA).

Expand Down
2 changes: 1 addition & 1 deletion sim/audio_clock_tb/Manifest.py
Original file line number Diff line number Diff line change
Expand Up @@ -2,7 +2,7 @@
sim_tool = "modelsim"
sim_top = "audio_clock_tb"

sim_post_cmd = "vsim -novopt -do ../vsim.do -c audio_clock_tb"
sim_post_cmd = "vsim -do ../vsim.do -c audio_clock_tb"

modules = {
"local" : [ "../../test/audio_clock_tb" ],
Expand Down
2 changes: 1 addition & 1 deletion sim/audio_param_tb/Manifest.py
Original file line number Diff line number Diff line change
Expand Up @@ -2,7 +2,7 @@
sim_tool = "modelsim"
sim_top = "audio_param_tb"

sim_post_cmd = "vsim -novopt -do ../vsim.do -c audio_param_tb"
sim_post_cmd = "vsim -do ../vsim.do -c audio_param_tb"

modules = {
"local" : [ "../../test/audio_param_tb" ],
Expand Down
2 changes: 1 addition & 1 deletion sim/spd_tb/Manifest.py
Original file line number Diff line number Diff line change
Expand Up @@ -2,7 +2,7 @@
sim_tool = "modelsim"
sim_top = "spd_tb"

sim_post_cmd = "vsim -novopt -do ../vsim.do -c spd_tb"
sim_post_cmd = "vsim -do ../vsim.do -c spd_tb"

modules = {
"local" : [ "../../test/spd_tb" ],
Expand Down

0 comments on commit 01c5a84

Please sign in to comment.