Skip to content

Commit

Permalink
> generate verilog
Browse files Browse the repository at this point in the history
薛立伟
Linux tianyi-virtual-machine 6.5.0-15-generic OSCPU#15~22.04.1-Ubuntu SMP PREEMPT_DYNAMIC Fri Jan 12 18:54:30 UTC 2 x86_64 x86_64 x86_64 GNU/Linux
 21:07:27 up 32 min,  1 user,  load average: 0.45, 0.35, 0.33
  • Loading branch information
tracer-ysyx authored and wele0612 committed Jan 30, 2024
1 parent 5a52c17 commit 3c583ad
Show file tree
Hide file tree
Showing 3 changed files with 2 additions and 71 deletions.
69 changes: 0 additions & 69 deletions npc/playground/src/DecoupledGCD.scala

This file was deleted.

2 changes: 1 addition & 1 deletion npc/playground/src/Elaborate.scala
Original file line number Diff line number Diff line change
@@ -1,7 +1,7 @@
import circt.stage._

object Elaborate extends App {
def top = new GCD()
def top = new Top_Module()
val generator = Seq(chisel3.stage.ChiselGeneratorAnnotation(() => top))
(new ChiselStage).execute(args, generator :+ CIRCTTargetAnnotation(CIRCTTarget.Verilog))
}
Original file line number Diff line number Diff line change
Expand Up @@ -5,7 +5,7 @@ import chisel3._
* Subtracts the smaller from the larger until register y is zero.
* value in register x is then the GCD
*/
class GCD extends Module {
class Top_Module extends Module {
val io = IO(new Bundle {
val value1 = Input(UInt(16.W))
val value2 = Input(UInt(16.W))
Expand Down

0 comments on commit 3c583ad

Please sign in to comment.